Expand icon Search icon File icon file Download

Items where Author is "Mak, Terrence"

Up a level
Export as [feed] Atom [feed] RSS 1.0 [feed] RSS 2.0
Group by: Item Type | No Grouping
Number of items: 4.

Article

Chen, Weilong and Wang, Xiaohang and Sun, Ye and Hu, Qiao and Huang, Letian and Jiang, Yingtao and Singh, Amit Kumar and Mak, Terrence and Yang, Mei (2021) Evolution of Publications, Subjects, and Co-Authorships in Network-on-Chip Research From a Complex Network Perspective. IEEE Access, 9. pp. 149399-149422. DOI https://doi.org/10.1109/access.2021.3123106

Xiao, Siyuan and Wang, Xiaohang and Palesi, Maurizio and Singh, Amit Kumar and Wang, Liang and Mak, Terrence (2021) On Performance Optimization and Quality Control for Approximate-Communication-Enabled Networks-on-Chip. IEEE Transactions on Computers, 70 (11). pp. 1817-1830. DOI https://doi.org/10.1109/tc.2020.3027182

Wang, Xiaohang and Singh, Amit Kumar and Li, Bing and Yang, Yang and Li, Hong and Mak, Terrence (2018) Bubble budgeting: throughput optimization for dynamic workloads by exploiting dark cores in many core systems. IEEE Transactions on Computers, 67 (2). pp. 178-192. DOI https://doi.org/10.1109/TC.2017.2735967

Conference or Workshop Item

Zhao, Yiming and Wang, Xiaohang and Jiang, Yingtao and Mei, Yang and Singh, Amit Kumar and Mak, Terrence (2019) On a New Hardware Trojan Attack on Power Budgeting of Many Core Systems. In: 2018 31st IEEE International System-on-Chip Conference (SOCC), 2018-09-04 - 2018-09-07, Arlington, VA, USA.

This list was generated on Thu Mar 28 09:08:50 2024 GMT.